您現(xiàn)在所在位置: 首頁 >> 新聞中心 >> 行業(yè)新聞

公司動(dòng)態(tài)

行業(yè)新聞

六大芯片制造廠的制程工藝演進(jìn)之路
2020-02-18 10:03:04
詳細(xì)內(nèi)容

 現(xiàn)今,半導(dǎo)體制造業(yè)發(fā)展得如火如荼,特別是以臺(tái)積電為代表的晶圓代工業(yè),在對(duì)更先進(jìn)制程工藝的不斷追求下,使得產(chǎn)業(yè)鏈上的相關(guān)企業(yè)備受關(guān)注,也拉動(dòng)著產(chǎn)業(yè)投資。


10nm、7nm、5nm等,先進(jìn)制程發(fā)展到目前階段,已經(jīng)進(jìn)入了緩慢發(fā)展期。這些制程與當(dāng)年作為最具經(jīng)濟(jì)效益,且被看作是先進(jìn)制程分界點(diǎn)的28nm相比,玩兒家越來越少,看客越來越多。因此,它們的演進(jìn)過程值得探索。


從制程工藝節(jié)點(diǎn)演變角度來看,28nm及以上相對(duì)成熟制程,憑借高性價(jià)比依然擁有較大的市場(chǎng)規(guī)模,存量上基本保持不變或輕微下降,但是由于28nm及更先進(jìn)制程的市場(chǎng)規(guī)模逐漸擴(kuò)大,成熟制程的市場(chǎng)占比會(huì)不斷下降。總的來說,目前代工市場(chǎng)還是主要以成熟制程為主,先進(jìn)制程占比不斷提高。2018年,28nm及更先進(jìn)制程市場(chǎng)占比45%左右,預(yù)計(jì)到2021年可以達(dá)到56%。


 而從芯片制造廠商角度來看,目前,28nm及更先進(jìn)制程的玩家也只有6家了,它們分別是純晶圓代工廠臺(tái)積電、格芯、聯(lián)電和中芯國(guó)際,以及IDM大廠三星和英特爾。



微信圖片_20200218094200.png



臺(tái)積電

 在晶圓代工領(lǐng)域,無論是制程技術(shù)覆蓋范圍、先進(jìn)制程領(lǐng)導(dǎo)力,還是營(yíng)收水平等,臺(tái)積電都是行業(yè)老大。該公司排名一直位列行業(yè)第一,目前的市占率已經(jīng)接近60%。而在制程技術(shù)種類方面,2017年,臺(tái)積電就以258種制程技術(shù),為465個(gè)客戶生產(chǎn)了9920種芯片。工廠方面,該公司擁有三座12英寸超大晶圓廠,分別是晶圓12廠、晶圓14廠和晶圓15廠,此外,其在中國(guó)大陸和臺(tái)灣地區(qū)還在新建工廠,以滿足客戶對(duì)更先進(jìn)制程工藝的需求。


下面看一下臺(tái)積電各種制程工藝的演進(jìn)情況。

2003年,該公司推出了當(dāng)時(shí)業(yè)界領(lǐng)先的0.13μm低介質(zhì)銅導(dǎo)線邏輯制程技術(shù),也就是從那時(shí)開始,臺(tái)積電開始大幅領(lǐng)先于聯(lián)電(UMC)。


2004年,臺(tái)積電成為第一家采用浸沒式光刻工藝生產(chǎn)90nm芯片的廠商。


2005年,臺(tái)積電開始風(fēng)險(xiǎn)試產(chǎn)65nm產(chǎn)品;2008年,使用40nm制程工藝為多個(gè)客戶大規(guī)模生產(chǎn)芯片;2011年,全球首家推出28nm通用工藝技術(shù)。


由于臺(tái)積電在業(yè)內(nèi)率先實(shí)現(xiàn)了28nm的量產(chǎn),使其長(zhǎng)期霸占28nm市場(chǎng)占有率的第一名,高通驍龍800采用了臺(tái)積電的28nm HPM HKMG標(biāo)準(zhǔn)制程,高通MSM8960和聯(lián)發(fā)科四核芯片MT6589T芯片使用的則是28nm LP工藝。


2014年,臺(tái)積電成為全球首家采用其獨(dú)創(chuàng)的雙模式20nm技術(shù)量產(chǎn)芯片的公司;2015年,開始量產(chǎn)16nm FinFET制程;2017年,開始大規(guī)模出貨10nm FinFET制程,并開始風(fēng)險(xiǎn)試產(chǎn)7nm FinFET制程,并于2018年實(shí)現(xiàn)量產(chǎn);2019年,開始量產(chǎn)7nm+(EUV版的7nm)。


2020年將風(fēng)險(xiǎn)試產(chǎn)5nm制程。此外,該公司已經(jīng)開始建設(shè)3nm制程工藝晶圓廠,并有望于2022年實(shí)現(xiàn)量產(chǎn)。


在制程工藝演進(jìn)方面,臺(tái)積電有一大特點(diǎn),那就是每一代制程的推出間隔時(shí)間逐步拉長(zhǎng),例如:其45nm到28nm之間相隔9個(gè)季度,28nm到16nm之間相隔11個(gè)季度,16nm到 10nm之間相隔12個(gè)季度。


但是,從65nm以后的歷次新制程產(chǎn)能推進(jìn)情況來看,新制程量產(chǎn)后的擴(kuò)產(chǎn)和替代速度正在迅速加快,例如:40nm/45nm制程的產(chǎn)能占比從0提升到20%耗時(shí)9個(gè)季度,28nm耗時(shí)7個(gè)季度,16nm制程耗時(shí)5個(gè)季度,而10nm只耗時(shí) 3個(gè)季度。


通過以上這些現(xiàn)象可以看出:一、高端大客戶對(duì)于更高性能、更低功耗的先進(jìn)制程產(chǎn)品的需求迫切;二、反映出臺(tái)積電的研發(fā)能力和生產(chǎn)經(jīng)驗(yàn)在不斷增強(qiáng),對(duì)新產(chǎn)能的良率控制和產(chǎn)能推進(jìn)越來越得心應(yīng)手。


微信圖片_20200218094731.jpg

臺(tái)積電的盈利能力很強(qiáng),下面就以人們非常關(guān)注的中芯國(guó)際為對(duì)象,做一下簡(jiǎn)單的對(duì)比。


以2017年第四季度的臺(tái)積電和中芯國(guó)際的營(yíng)收結(jié)構(gòu)和毛利率為例,先進(jìn)制程(28nm及以下)為臺(tái)積電帶來的營(yíng)收占總營(yíng)收比重為63%,而中芯國(guó)際的先進(jìn)制程營(yíng)收占比僅為11%,該季度臺(tái)積電毛利率為50.5%,而中芯國(guó)際毛利率為19%。


臺(tái)積電2017年Q4營(yíng)收為94.37億美元,晶圓出貨量為607.28萬片8英寸約當(dāng)晶圓,綜合單價(jià)為1554美元/片。中芯國(guó)際同期營(yíng)收為7.87億美元,晶圓出貨量為112.48萬片8英寸約當(dāng)晶圓,綜合單價(jià)為 700美元/片。


二者產(chǎn)品均價(jià)相差超過一倍,毛利率相差近2.5倍,先進(jìn)制程具有的產(chǎn)品價(jià)值和利潤(rùn)空間可見一斑。


三星

三星于2005年成立了晶圓代工業(yè)務(wù)部門,并于2017年開始將其獨(dú)立出來經(jīng)營(yíng),獨(dú)立的主要目的就是為了加強(qiáng)在全球晶圓代工市場(chǎng)的競(jìng)爭(zhēng)力,以求拉近與臺(tái)積電的距離。


下面看一下三星晶圓代工業(yè)務(wù)在先進(jìn)制程方面的演進(jìn)情況。


2016年初,三星第二代14nm FinFET開始量產(chǎn);2016年10月,該公司第一代10nm FinFETLPE制程大批量生產(chǎn);2017年4月,第二代10nm FinFET工藝技術(shù)10LPP通過認(rèn)證;2017年10月,8nm FinFET工藝技術(shù)8LPP通過認(rèn)證;2017年11月,第二代10nm FinFET工藝技術(shù)量產(chǎn);2019年,7nm+制程實(shí)現(xiàn)量產(chǎn)。


最近兩年,三星與臺(tái)積電在10nm制程工藝競(jìng)爭(zhēng)較為激烈。臺(tái)積電在2017年Q2開始為蘋果量產(chǎn)10nm的A11處理器,并于2018年開始量產(chǎn)7nm制程,三星也開始進(jìn)行10nm投片,但跳過了采用浸潤(rùn)式光刻技術(shù)的7nm,直接推出采用EUV光刻技術(shù)的7nm。總體而言,臺(tái)積電在10nm和7nm制程上有領(lǐng)先優(yōu)勢(shì)。


在工廠方面,三星從2005年開始進(jìn)入12英寸晶圓代工領(lǐng)域,目前,該公司的晶圓代工專屬線有4條,包括3條12英寸和一條8英寸線。


12英寸晶圓代工線分布在韓國(guó)和美國(guó),主要針對(duì)高端工藝,包括65nm、45nm、32nm /28nm HKMG、14nm FinFET工藝,客戶包括蘋果、高通、AMD、XILINX、NVIDIA等。8英寸晶圓代工線于2016年開放,從180nm到65nm制程節(jié)點(diǎn)都已經(jīng)涵蓋,工藝技術(shù)包括嵌入式閃存(eFlash)、功率器件、CMOS圖像傳感器CIS,以及高壓制程的生產(chǎn)。


格芯

格芯(Global Foundries)制定了兩條工藝路線圖:對(duì)于FinFET,該公司有14LPP(14nm FinFET技術(shù))和12LPP;對(duì)于FD-SOI,格芯在產(chǎn)的是22FDX,當(dāng)客戶需要時(shí),還可以生產(chǎn)12FDX芯片。


格芯14LPP主要用于制造計(jì)算、互聯(lián)網(wǎng)、移動(dòng)、服務(wù)器市場(chǎng)的低功耗SoC;28nm及FDX系列工藝主要用于中低端處理器;22FDX-RFA技術(shù)主要應(yīng)用于射頻領(lǐng)域;180nm和55nm等成熟工藝主要應(yīng)用于模擬芯片,以及電源管理芯片等領(lǐng)域。


聯(lián)電

聯(lián)電于2003年開始試產(chǎn)90nm芯片,并于2004年通過驗(yàn)證并實(shí)現(xiàn)量產(chǎn);2005年,該公司推出了65nm芯片;2006年產(chǎn)出第一片45nm芯片;2008年,推出業(yè)界第一個(gè)代工的28nm制程SRAM芯片;2009年出產(chǎn)了40nm芯片;2011年,開始試產(chǎn)28nm芯片,并于2014年量產(chǎn);2017年,量產(chǎn)14nm芯片。


2013年,聯(lián)電還成功開發(fā)出了28nm的PolySiON制程技術(shù),并通過客戶產(chǎn)品驗(yàn)證逐步導(dǎo)入量產(chǎn)。


2014年,成功開發(fā)出28nm的HKMG制程技術(shù),并通過先期客戶產(chǎn)品驗(yàn)證逐步導(dǎo)入量產(chǎn)。2015年,成功開發(fā)出28nm高效能精簡(jiǎn)型(HPC+)制程技術(shù),可提供更低的漏電流,從而降低功耗。


中芯國(guó)際

2000年成立的中芯國(guó)際,于2001年在上海投產(chǎn)8英寸廠,并于2005年實(shí)現(xiàn)90nm試產(chǎn);2009年實(shí)現(xiàn)65nm量產(chǎn);2011年,55nm量產(chǎn),且40nm驗(yàn)證成功,2012年,40nm量產(chǎn);2015年Q2,開始量產(chǎn)28nm。


中芯國(guó)際的28nm有3種制程,分別是PolySiON,HKMG和HKC。該公司的28nm技術(shù)現(xiàn)已成功進(jìn)入多項(xiàng)目晶圓(MPW)和量產(chǎn)階段,并提出了發(fā)展三階段概念:第一階段的PolySiON制程已經(jīng)量產(chǎn),第二階段HKMG制程已經(jīng)在2017年第2季開始產(chǎn)出,而第三階段是HKC制程,在2018年量產(chǎn)。


自28nm量產(chǎn)以后,中芯國(guó)際在該制程節(jié)點(diǎn)處的發(fā)展就遇到了一系列的困難。對(duì)此,該公司CEO趙海軍曾經(jīng)表示:“對(duì)28nm擴(kuò)產(chǎn)持謹(jǐn)慎態(tài)度”。


而中芯國(guó)際在2018年投入量產(chǎn)的主要新制程是第二階段的28nm HKMG(中芯國(guó)際稱之為HKC)。而28nm Poly/SiON和HKMG都已經(jīng)是對(duì)手的成熟制程,價(jià)格方面的壓力基本相當(dāng),因此,28nm HKMG的擴(kuò)產(chǎn)從盈利能力的角度來看,帶來的貢獻(xiàn)有限。


因此,該公司暫時(shí)放緩了盈利艱難的28nm,暫時(shí)通過成熟制程穩(wěn)固業(yè)績(jī),為14nm在2019年的量產(chǎn)做好充足準(zhǔn)備。


成熟工藝方面,55nm/65nm和0.15μm/0.18μm兩大制程平臺(tái)放量,預(yù)計(jì)對(duì)應(yīng)該公司的NOR和PMIC兩大關(guān)鍵平臺(tái)。


此外,中芯國(guó)際擁有中國(guó)大陸地區(qū)最大的8英寸晶圓產(chǎn)能,成熟制程平臺(tái)和定制化應(yīng)用的火熱程度使其8英寸線產(chǎn)能利用率保持高位,對(duì)該公司業(yè)績(jī)也有進(jìn)一步拉動(dòng)作用。


目前,14nm FinFET制程技術(shù)是中芯國(guó)際的重中之重,因?yàn)閺?4nm節(jié)點(diǎn)起,集成電路中的場(chǎng)效應(yīng)管結(jié)構(gòu)從2D轉(zhuǎn)變?yōu)?D。


雖然目前先進(jìn)制程的劃分依然以28nm為界,但從晶圓代工廠商的競(jìng)爭(zhēng)格局來看,14nm FinFET技術(shù)才是主流大廠與中小廠商的分野所在。因此,14nm FinFET技術(shù)的突破對(duì)于中芯國(guó)際來說有著里程碑意義。


英特爾

從1971年,采用10μm制程工藝生產(chǎn)出全球首個(gè)微處理器4004,一直到2019年實(shí)現(xiàn)10nm處理器量產(chǎn),英特爾近50年的半導(dǎo)體制程工藝發(fā)展之路堪稱史上之最。


在制程工藝技術(shù)方面,英特爾一向以要求嚴(yán)苛而聞名,也正是因?yàn)槿绱耍蛊湓谏虡I(yè)化制程方面落在了臺(tái)積電和三星的后面。


但是,由于英特爾并不是專做晶圓代工的企業(yè),其在該領(lǐng)域的產(chǎn)能和市場(chǎng)占有率都比較低。工廠主要還是用于生產(chǎn)自家的處理器和存儲(chǔ)器芯片。


Intel旗下的晶圓廠非常多,該公司70%的處理器及芯片組晶圓都產(chǎn)自美國(guó)的晶圓廠,包括亞利桑那州、俄勒岡州、新墨西哥州、馬薩諸州,其中馬薩諸州是英特爾目前唯一、也是最后一座8英寸晶圓廠了。


該公司的14nm制程工藝主要在美國(guó)亞利桑那州及俄勒岡的D1X晶圓廠生產(chǎn)。美國(guó)本土以外的14nm晶圓廠主要是愛爾蘭的Fab 24,還在升級(jí)14nm工藝中。


該公司在中國(guó)大連、成都還有兩座晶圓廠,不過,制程工藝還是以90nm和65nm為主。

在發(fā)展晶圓代工業(yè)務(wù)方面,英特爾有可能會(huì)引入戰(zhàn)略投資者。在未來的7nm及5nm、3nm等技術(shù)的投資越來越大,引入戰(zhàn)略投資者有助于分擔(dān)風(fēng)險(xiǎn)。



引用自:半導(dǎo)體行業(yè)觀察

原創(chuàng)作者:張健   keya


*免責(zé)聲明:本文引用自原創(chuàng)作者張健之文。文章內(nèi)容系作者個(gè)人觀點(diǎn),轉(zhuǎn)載僅為了傳達(dá)不同觀點(diǎn)交流與提升半導(dǎo)體行業(yè)認(rèn)知,不代表對(duì)該觀點(diǎn)贊同或支持,如果有任何異議,歡迎聯(lián)系。



上一篇
下一篇